Here are Prof. Akash Kumar's profile pages:

Publications

  • 2024

  • 174. Vikash Kumar, Behnaz Ranjbar, Akash Kumar, "Motivating the Use of Machine-Learning For Improving Timing Behaviour of Embedded Mixed-Criticality Systems" (to appear), In Proceeding: 2024 Design, Automation & Test in Europe Conference & Exhibition (DATE), Mar. 2024. [Bibtex & Downloads]
  • 173. Vikash Kumar, Behnaz Ranjbar, Akash Kumar, "Utilizing Machine Learning Techniques for Worst-Case Execution Time Estimation on GPU Architectures", In IEEE Access, Institute of Electrical and Electronics Engineers (IEEE), pp. 1–15, March 2024. [doi] [Bibtex & Downloads]
  • 172. Paul Jungmann, Julia Poray, Akash Kumar, "Analytical Uncertainty Propagation in Neural Networks", In IEEE Transactions on Neural Networks and Learning Systems, Institute of Electrical and Electronics Engineers (IEEE), pp. 1–14, 2024. [doi] [Bibtex & Downloads]
  • 171. G. Noble, S. Nalesh, S. Kala, Akash Kumar, "Configurable sparse matrix - matrix multiplication accelerator on FPGA: A systematic design space exploration approach with quantization effects", In Alexandria Engineering Journal, vol. 91, pp. 84-94, 2024. [doi] [Bibtex & Downloads]
  • 2023

  • 170. Rohit Agrawal, Kapil Ahuja, Dhaarna Maheshwari, Mohd Ubaid Shaikh, Mohamed Bouaziz, Akash Kumar, "Parallel FPGA Routers with Lagrange Relaxation", In IEEE Access, Institute of Electrical and Electronics Engineers (IEEE), pp. 1–1, November 2023. [doi] [Bibtex & Downloads]
  • 169. Mark Wijtvliet, Henk Corporaal, Akash Kumar, "Blocks: Auf dem Weg zu Energieeffizienten, Grobkörnigen, Rekonfigurierbaren Architekturen (CGRA)", Springer International Publishing, November 2023. [doi] [Bibtex & Downloads]
  • 168. Salim Ullah, Siva Satyendra Sahoo, Akash Kumar, "Designing Resource-Efficient Hardware Arithmetic for FPGA-Based Accelerators Leveraging Approximations and Mixed Quantizations", Chapter in Embedded Machine Learning for Cyber-Physical, IoT, and Edge Computing, Springer International Publishing, pp. 89–119, Oct 2023. [doi] [Bibtex & Downloads]
  • 167. Siva Satyendra Sahoo, Salim Ullah, Akash Kumar, "AxOTreeS: A Tree Search Approach to Synthesizing FPGA-Based Approximate Operators", In ACM Trans. Embed. Comput. Syst., Association for Computing Machinery, vol. 22, no. 5s, New York, NY, USA, Sep 2023. [doi] [Bibtex & Downloads]
  • 166. Max Sponner, Julius Ott, Lorenzo Servadei, Bernd Waschneck, Robert Wille, Akash Kumar, "Temporal Patience: Efficient Adaptive Deep Learning for Embedded Radar Data Processing", In ACM Workshop on Compilers, Deployment, and Tooling for Edge AI (CODAI), September 2023. [Bibtex & Downloads]
  • 165. Yuhao Liu, Shubham Rai, Salim Ullah, Akash Kumar, "High Flexibility Designs of Quantized Runtime Reconfigurable Multi-Precision Multipliers", In IEEE Embedded Systems Letters, pp. 1-1, 2023. [doi] [Bibtex & Downloads]
  • 164. Yuankang Zhao, Salim Ullah, Siva Satyendra Sahoo, Akash Kumar, "NvMISC: Towards an FPGA-Based Emulation Platform for RISC-V and Non-Volatile Memories", In IEEE Embedded Systems Letters, Institute of Electrical and Electronics Engineers (IEEE), pp. 1–1, 2023. [doi] [Bibtex & Downloads]
  • 163. Behnaz Ranjbar, Alireza Ejlali, Akash Kumar, "Quality-of-Service Aware Design and Management of Embedded Mixed-Criticality Systems", Springer, September 2023. [Bibtex & Downloads]
  • 162. Behnaz Ranjbar, Ali Hosseinghorban, Akash Kumar, "ADAPTIVE: Agent-Based Learning for Bounding Time in Mixed-Criticality Systems", In Proceeding: Design Automation Conference (DAC), pp. 1-6, July 2023. [doi] [Bibtex & Downloads]
  • 161. Armin Darjani, Nima Kavand, Shubham Rai, Akash Kumar, "Discerning the Limitations of GNN-Based Attacks on Logic Locking", In Proceeding: Design Automation Conference (DAC), pp. 1-6, July 2023. [doi] [Bibtex & Downloads]
  • 160. Salim Ullah, Siva Satyendra Sahoo, Akash Kumar, "CoOAx: Correlation-aware Synthesis of FPGA-based Approximate Operators", Proceedings of the Great Lakes Symposium on VLSI 2023, ACM, Jun 2023. [doi] [Bibtex & Downloads]
  • 159. Raghul Saravanan, Sathwika Bavikadi, Shubham Rai, Akash Kumar, Sai Manoj Pudukotai Dinakarrao, "Reconfigurable FET Approximate Computing-Based Accelerator for Deep Learning Applications", In Proceeding: IEEE International Symposium on Circuits and Systems (ISCAS), IEEE, May 2023. [Bibtex & Downloads]
  • 158. Elias Trommer, Bernd Waschneck, Akash Kumar, "Combining Gradients and Probabilities for Heterogeneous Approximation of Neural Networks", In Proceeding: International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS), IEEE, May 2023. [Bibtex & Downloads]
  • 157. Nima Kavand, Armin Darjani, Shubham Rai, Akash Kumar, "Design of Energy-efficient RFET-based Exact and Approximate 4:2 Compressors and Multipliers", In IEEE Transactions on Circuits and Systems II: Express Briefs, pp. 1-1, May 2023. [doi] [Bibtex & Downloads]
  • 156. Yuhao Liu, Shubham Rai, Salim Ullah, Akash Kumar, "NetPU-M: a Generic Reconfigurable Neural Network Accelerator Architecture for MLPs", In Proceeding: 2023 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW), pp. 85-92, 2023. [doi] [Bibtex & Downloads]
  • 155. Jens Trommer, Niladri Bhattacharjee, Thomas Mikolajick, Sebastian Huhn, Marcel Merten, Mohammed Elkacem Djeridane, ‪Muhammad Hassan, Rolf Drechsler, Shubham Rai, Nima Kavand, Armin Darjani, Akash Kumar, Violetta Sessi, Maximilian Drescher, Sabine Kolodinski, Maciej Wiatr, "Design Enablement Flow for Circuits with Inherent Obfuscation based on Reconfigurable Transistors", In Proceeding: Design, Automation and Test in Europe Conference (DATE), IEEE/ACM, April 2023. [Bibtex & Downloads]
  • 154. Behnaz Ranjbar, Amit Kumar Singh, Siva Satyendra Sahoo, Piotr Dziurzanski, Akash Kumar, "Power Management of Multicore Systems", Chapter in Handbook of Computer Architecture, Springer Nature Singapore, pp. 1–33, 2023. [doi] [Bibtex & Downloads]
  • 153. Behnaz Ranjbar, Ali Hosseinghorban, Akash Kumar, "Motivating Agent-Based Learning For Bounding Time in Mixed-Criticality Systems", In Proceeding: Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 1-2, April 2023. [doi] [Bibtex & Downloads]
  • 152. Behnaz Ranjbar, Florian Klemme, Paul R. Genssler, Hussam Amrouch, Jinhyo Jung, Shail Dave, Hwisoo So, Kyongwoo Lee, Aviral Shrivastava, Ji-Yung Lin, Pieter Weckx, Subrat Mishra, Francky Catthoor, Dwaipayan Biswas, Akash Kumar, "Learning-Oriented Reliability Improvement of Computing Systems From Transistor to Application Level", In Proceeding: Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 1-10, April 2023. [doi] [Bibtex & Downloads]
  • 151. Zahra Ebrahimi, Muhammad Zaid, Mark Wijtvliet, Akash Kumar, "RAPID: Approximate Pipelined Soft Multipliers and Dividers for High Throughput and Energy Efficiency", In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Institute of Electrical and Electronics Engineers (IEEE), vol. 42, no. 3, pp. 712–725, Mar 2023. [doi] [Bibtex & Downloads]
  • 150. Shubham Rai, Alessandro Tempia Calvino, Heinz Riener, Giovanni De Micheli, Akash Kumar, "Utilizing XMG-Based Synthesis to Preserve Self-Duality for RFET-Based Circuits", In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Institute of Electrical and Electronics Engineers (IEEE), vol. 42, no. 3, pp. 914–927, Mar 2023. [doi] [Bibtex & Downloads]
  • 149. Mehdi Moghaddamfar, Norman May, Christian Färber, Wolfgang Lehner, Akash Kumar, "A Study of Early Aggregation in Database Query Processing on FPGAs", Proceedings of the 2023 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, ACM, Feb 2023. [doi] [Bibtex & Downloads]
  • 148. Rohit Ranjan, Salim Ullah, Siva Satyendra Sahoo, Akash Kumar, "SyFAxO-GeN: Synthesizing FPGA-based Approximate Operators with Generative Networks", Proceedings of the 28th Asia and South Pacific Design Automation Conference, ACM, Jan 2023. [doi] [Bibtex & Downloads]
  • 147. Paul Jungmann, Jeffrey B. Johnson, Eduardo C. Silva, William Taylor, Abdul Hanan Khan, Akash Kumar, "TCAD-enabled Machine Learning - An Efficient Framework to Build Highly Accurate and Reliable Models for Semiconductor Technology Development and Fabrication", In IEEE Transactions on Semiconductor Manufacturing, Institute of Electrical and Electronics Engineers (IEEE), pp. 1–1, 2023. [doi] [Bibtex & Downloads]
  • 146. Steffen Märcker, Michael Raitza, Shubham Rai, Giulio Galderisi, Thomas Mikolajick, Jens Trommer, Akash Kumar, "Formal Analysis of Camouflaged Reconfigurable Circuits" (to appear), Proceedings 21st International NEWCAS Conference, pp. 1–4, 2023. [Bibtex & Downloads]
  • 145. Siva Satyendra Sahoo, Anup Das, Akash Kumar, "Fault Tolerant Architectures", Chapter in Handbook of Computer Architecture, Springer Nature Singapore, pp. 1–44, 2023. [doi] [Bibtex & Downloads]
  • 144. Salim Ullah, Akash Kumar, "Approximate Arithmetic Circuit Architectures for FPGA-based Systems", Springer International Publishing, 2023. [doi] [Bibtex & Downloads]
  • 143. Siva Satyendra Sahoo, Salim Ullah, Akash Kumar, "AxOMaP: Designing FPGA-based Approximate Arithmetic Operators using Mathematical Programming", arXiv, 2023. [doi] [Bibtex & Downloads]
  • 142. Siva Satyendra Sahoo, Salim Ullah, Soumyo Bhattacharjee, Akash Kumar, "AxOCS: Scaling FPGA-based Approximate Operators using Configuration Supersampling", arXiv, 2023. [doi] [Bibtex & Downloads]
  • 2022

  • 141. Seetal Potluri, Shamik Kundu, Akash Kumar, Kanad Basu, Aydin Aysu, "SeqL+: Secure Scan-Obfuscation with Theoretical and Empirical Validation", In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Institute of Electrical and Electronics Engineers (IEEE), pp. 1–1, 16 August 2022. [doi] [Bibtex & Downloads]
  • 140. Yuhao Liu, Shubham Rai, Salim Ullah, Akash Kumar, "NetPU: Prototyping a Generic Reconfigurable Neural Network Accelerator Architecture", In Proceeding: 2022 International Conference on Field-Programmable Technology (ICFPT), pp. 1-1, Dec 2022. [doi] [Bibtex & Downloads]
  • 139. Nima Kavand, Armin Darjani, Shubham Rai, Akash Kumar, "Securing Hardware through Reconfigurable Nano-structures", In Proceeding: International Conference on Computer-Aided Design (ICCAD), ACM/IEEE, Nov 2022. [Bibtex & Downloads]
  • 138. Behnaz Ranjbar, Ali Hosseinghorban, Siva Satyendra Sahoo, Alireza Ejlali, Akash Kumar, "BOT-MICS: Bounding Time Using Analytics in Mixed-Criticality Systems", In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Institute of Electrical and Electronics Engineers (IEEE), vol. 41, no. 10, pp. 3239-3251, October 2022. [doi] [Bibtex & Downloads]
  • 137. Mozhgan Navardi, Behnaz Ranjbar, Nezam Rohbani, Alireza Ejlali, Akash Kumar, "Peak-Power Aware Life-time Reliability Improvement in Fault-Tolerant Mixed-Criticality Systems", In IEEE Open Journal of Circuits and Systems, vol. 3, pp. 199-215, September 2022. [doi] [Bibtex & Downloads]
  • 136. Fanny Spagnolo, Salim Ullah, Pasquale Corsonello, Akash Kumar, "ERMES: Efficient Racetrack Memory Emulation System based on FPGA", In Proceeding: 2022 International Conference on Field-Programmable Logic and Applications (FPL), pp. 1-6, Aug 2022. [Bibtex & Downloads]
  • 135. Mohammed Bawatna, Behnaz Ranjbar, Akash Kumar, "A Hybrid Scheduling Mechanism for Multi-programming in Mixed-Criticality Systems", In Proceeding: Euromicro Conference on Digital System Design (DSD), pp. 181-188, Aug 2022. [doi] [Bibtex & Downloads]
  • 134. Amritha Immaneni, Salim Ullah, Suresh Nambi, Siva Satyendra Sahoo, Akash Kumar, "PosAx-O: Exploring Operator-level Approximatons for Posit Arithmetic in Embedded AI/ML" (to appear), In Proceeding: Euromicro Conference on Digital System Design (DSD), pp. 1-6, Aug 2022. [Bibtex & Downloads]
  • 133. Nishant Gupta, Mohil Desai, Mark Wijtvliet, Shubham Rai, Akash Kumar, "DELTA: DEsigning a steaLthy trigger mechanism for analog hardware Trojans and its detection Analysis,", In Proceeding: 2022 59th ACM/IEEE Design Automation Conference (DAC) (to appear), pp. 1-6, 7/2022. [Bibtex & Downloads]
  • 132. Max Sponner, Bernd Waschneck, Akash Kumar, "AI-Driven Performance Modeling for AI Inference Workloads", In Journal of Low Power Electronics and Applications, MDPI AG, vol. 11, no. 15, pp. 7, Jul 2022. [doi] [Bibtex & Downloads]
  • 131. Nikhil Rangarajan, Satwik Patnaik, Mohammed Nabeel, Mohammed Ashraf, Shubham Rai, Gopal Raut, Heba Abunahla, Baker Mohammad, Santosh Kumar Vishvakarma, Akash Kumar, Johann Knechtel, Ozgur Sinanoglu, "SCRAMBLE: A Secure and Configurable, Memristor-Based Neuromorphic Hardware Leveraging 3D Architecture", In Proceeding: 2022 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), IEEE, Jul 2022. [doi] [Bibtex & Downloads]
  • 130. Armin Darjani, Nima Kavand, Shubham Rai, Mark Wijtvliet, Akash Kumar, "ENTANGLE: An Enhanced Logic-locking Technique for Thwarting SAT and Structural Attacks", In Proceeding: ACM Great Lakes Symposium on VLSI (GLSVLSI), 6/2022. [doi] [Bibtex & Downloads]
  • 129. Aditya Lohana, Ansh Rupani, Shubham Rai, Akash Kumar, "Efficient Privacy-Aware Federated Learning by Elimination of Downstream Redundancy", In IEEE Design & Test, Institute of Electrical and Electronics Engineers (IEEE), vol. 39, no. 3, pp. 73–81, Jun 2022. [doi] [Bibtex & Downloads]
  • 128. Behnaz Ranjbar, Hamidreza Alikhani, Bardia Safaei, Alireza Ejlali, Akash Kumar, "Learning-Oriented QoS- and Drop-Aware Task Scheduling for Mixed-Criticality Systems", In Computers, vol. 11, no. 7, June 2022. [doi] [Bibtex & Downloads]
  • 127. Behnaz Ranjbar, Ali Hosseinghorban, Mohammad Salehi, Alireza Ejlali, Akash Kumar, "Toward the Design of Fault-Tolerance-Aware and Peak-Power-Aware Multicore Mixed-Criticality Systems", In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Institute of Electrical and Electronics Engineers (IEEE), vol. 41, no. 5, pp. 1509-1522, May 2022. [doi] [Bibtex & Downloads]
  • 126. T. Mikolajick, G. Galderisi, S. Rai, M. Simon, R. Böckle, M. Sistani, C. Cakirlar, N. Bhattacharjee, T. Mauersberger, A. Heinzig, A. Kumar, W.M. Weber, J. Trommer, "Reconfigurable Field Effect Transistors: A Technology Enablers Perspective", In Solid-State Electronics, Elsevier BV, pp. 108381, May 2022. [doi] [Bibtex & Downloads]
  • 125. Mehdi Moghaddamfar, Christian Farber, Norman May, Wolfgang Lehner, Akash Kumar, "FPGA-Based Database Query Processing on Arbitrarily Wide Tables", In Proceeding: 2022 IEEE 30th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), IEEE, May 2022. [doi] [Bibtex & Downloads]
  • 124. Zahra Ebrahimi, Dennis Klar, Mohammad Aasim Ekhtiyar, Akash Kumar, "Plasticine: A Cross-layer Approximation Methodology for Multi-kernel Applications through Minimally Biased, High-throughput, and Energy-efficient SIMD Soft Multiplier-divider" (to appear), In ACM Transactions on Design Automation of Electronic Systems, Association for Computing Machinery (ACM), vol. 27, no. 2, pp. 1–33, Mar 2022. [doi] [Bibtex & Downloads]
  • 123. M. Thümmler, S. Rai, A. Kumar, "Improving Technology Mapping for And-Inverter-Cones", In Proceeding: 2022 Design, Automation Test in Europe Conference Exhibition (DATE), March 2022. [Bibtex & Downloads]
  • 122. Michael Raitza, Steffen Märcker, Shubham Rai, Akash Kumar, "Exploring Standard-Cell Designs for Reconfigurable Nanotechnologies: A Formal Approach", In Proceeding: 2022 Design, Automation Test in Europe Conference Exhibition (DATE), Mar 2022. [Bibtex & Downloads]
  • 121. Ali Hosseinghorban, Akash Kumar, "A Partial-Reconfiguration-Enabled HW/SW Co-Design Benchmark for LTE Applications", In Electronics, vol. 11, Mar 2022. [doi] [Bibtex & Downloads]
  • 120. Stefano Corda, Bram Veenboer, Ahsan Javed Awan, John W. Romein, Roel Jordans, Akash Kumar, Albert-Jan Boonstra, Henk Corporaal, "Reduced-Precision Acceleration of Radio-Astronomical Imaging on Reconfigurable Hardware", In IEEE Access, Institute of Electrical and Electronics Engineers (IEEE), vol. 10, pp. 22819–22843, 2022. [doi] [Bibtex & Downloads]
  • 119. Negar Neda, Salim Ullah, Azam Ghanbari, Hoda Mahdiani, Mehdi Modarressi, Akash Kumar, "Multi-Precision Deep Neural Network Acceleration on FPGAs" (to appear), In Proceeding: Asia and South Pacific Design Automation Conference (ASPDAC), 1/2022. [Bibtex & Downloads]
  • 118. Alessandro Tempia Calvino, Heinz Riener, Shubham Rai, Akash Kumar, Giovanni De Micheli, "A Versatile Mapping Approach for Technology Mapping and Graph Optimization" (to appear), In Proceeding: Asia and South Pacific Design Automation Conference (ASPDAC), 1/2022. [Bibtex & Downloads]
  • 117. Mark Wijtvliet, Akash Kumar, Henk Corporaal, "Blocks: challenging SIMDs and VLIWs with a reconfigurable architecture", In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Institute of Electrical and Electronics Engineers (IEEE), pp. 1–14, 1/2022. [doi] [Bibtex & Downloads]
  • 116. Salim Ullah, Siva Satyendra Sahoo, Nemath Ahmed, Debabrata Chaudhury, Akash Kumar, "AppAxO: Designing Application-specific Approximate Operators for FPGA-based Embedded Systems", In ACM Transactions on Embedded Computing Systems (TECS), pp. 1–31, January 2022. [Bibtex & Downloads]
  • 115. Mark Wijtvliet, Henk Corporaal, Akash Kumar, "Blocks, Towards Energy-efficient, Coarse-grained Reconfigurable Architectures", Springer International Publishing, 2022. [doi] [Bibtex & Downloads]
  • 114. Shubham Rai, Nishant Gupta, Abhiroop Bhattacharjee, Ansh Rupani, Michael Raitza, Jens Trommer, Thomas Mikolajick, Akash Kumar, "END-TRUE: Emerging Nanotechnology-Based Double-Throughput True Random Number Generator" (to appear), Chapter in VLSI-SoC: Technology Advancement on SoC Design, Springer Nature Switzerland, pp. 175–203, 2022. [doi] [Bibtex & Downloads]
  • 113. Cecilia De la Parra, Taha Soliman, Andre Guntoro, Akash Kumar, Norbert Wehn, "Increasing Throughput of In-Memory DNN Accelerators by Flexible Layer-wise DNN Approximation" (to appear), In IEEE Micro, Institute of Electrical and Electronics Engineers (IEEE), pp. 1–1, 2022. [doi] [Bibtex & Downloads]
  • 112. Jorge Navarro Quijada, Tim Baldauf, Shubham Rai, Andre Heinzig, Akash Kumar, Walter M. Weber, Thomas Mikolajick, Jens Trommer, "A Germanium Nanowire Reconfigurable Transistor Model for Predictive Technology Evaluation", In IEEE Transactions on Nanotechnology, Institute of Electrical and Electronics Engineers (IEEE), pp. 1–8, 2022. [doi] [Bibtex & Downloads]
  • 2021

  • 111. Najdet Charaf, Christoph Tietz, Michael Raitza, Akash Kumar, Diana Gohringer, "AMAH-Flex: A Modular and Highly Flexible Tool for Generating Relocatable Systems on FPGAs", In Proceeding: 2021 International Conference on Field-Programmable Technology (ICFPT), IEEE, Dec 2021. [doi] [Bibtex & Downloads]
  • 110. T. Mikolajick, G. Galderisi, M. Simon, S. Rai, A. Kumar, A. Heinzig, W.M. Weber, J. Trommer, "20 Years of reconfigurable field-effect transistors: From concepts to future applications", In Solid-State Electronics, Elsevier BV, vol. 186, pp. 108036, Dec 2021. [doi] [Bibtex & Downloads]
  • 109. Elias Trommer, Bernd Waschneck, Akash Kumar, "dCSR: A Memory-Efficient Sparse Matrix Representation for Parallel Neural Network Inference", In Proceeding: 2021 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Nov 2021. [Bibtex & Downloads]
  • 108. Andreas Krinke, Shubham Rai, Akash Kumar, Jens Lienig, "Exploring Physical Synthesis for Circuits based on Emerging Reconfigurable Nanotechnologies", In Proceeding: 2021 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Nov 2021. [Bibtex & Downloads]
  • 107. Yasasvi V. Peruvemba, Shubham Rai, Kapil Ahuja, Akash Kumar, "RL-Guided Runtime-Constrained Heuristic Exploration for Logic Synthesis", In Proceeding: 2021 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Nov 2021. [Bibtex & Downloads]
  • 106. Siva Satyendra Sahoo, Akash Kumar, "Using Monte Carlo Tree Search for CAD - A Case-study with Designing Cross-layer Reliability for Heterogeneous Embedded Systems", In Proceeding: 2021 IFIP/IEEE 29th International Conference on Very Large Scale Integration (VLSI-SOC), Oct 2021. [Bibtex & Downloads]
  • 105. Siva Satyendra Sahoo, Akash Kumar, "CLEO-CoDe: Exploiting Constrained Decoding for Cross-Layer Energy Optimization in Heterogeneous Embedded Systems", In Proceeding: 2021 IFIP/IEEE 29th International Conference on Very Large Scale Integration (VLSI-SOC), Oct 2021. [Bibtex & Downloads]
  • 104. Abhiroop Bhattacharjee, Shubham Rai, Ansh Rupani, Michael Raitza, Akash Kumar, "Metastability with Emerging Reconfigurable Transistors: Exploiting Ambipolarity for Throughput", In Proceeding: 2021 IFIP/IEEE 29th International Conference on Very Large Scale Integration (VLSI-SoC), IEEE, Oct 2021. [doi] [Bibtex & Downloads]
  • 103. Behnaz Ranjbar, Tuan D. A. Nguyen, Alireza Ejlali, Akash Kumar, "Power-Aware Run-Time Scheduler for Mixed-Criticality Systems on Multi-Core Platform", In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Institute of Electrical and Electronics Engineers (IEEE), vol. 40, no. 10, pp. 2009-2023, October 2021. [doi] [Bibtex & Downloads]
  • 102. Stefano Corda, Madhurya Kumaraswamy, Ahsan Javed Awan, Roel Jordans, Akash Kumar, Henk Corporaal, "NMPO: Near-Memory Computing Profiling and Offloading", In Proceeding: 2021 24th Euromicro Conference on Digital System Design (DSD), IEEE, Sep 2021. [doi] [Bibtex & Downloads]
  • 101. Siva Satyendra Sahoo, Akash Kumar, Martin Decky, Samuel C. B. Wong, Geoff V. Merrett, Yinyuan Zhao, Jiachen Wang, Xiaohang Wang, Amit Kumar Singh, "Emergent design challenges for embedded systems and paths forward: mixed-criticality, energy, reliability and security perspectives", Proceedings of the 2021 International Conference on Hardware/Software Codesign and System Synthesis, ACM, Sep 2021. [doi] [Bibtex & Downloads]
  • 100. Suresh Nambi, Salim Ullah, Siva Satyendra Sahoo, Aditya Lohana, Farhad Merchant, Akash Kumar, "ExPAN(N)D: Exploring Posits for Efficient Artificial Neural Network Design in FPGA-based Systems", In IEEE Access, Institute of Electrical and Electronics Engineers (IEEE), pp. 1–1, July 2021. [doi] [Bibtex & Downloads]
  • 99. Shubham Rai, Pallab Nath, Ansh Rupani, Santosh Kumar Vishvakarma, Akash Kumar, "A Survey of FPGA Logic Cell Designs in the Light of Emerging Technologies", In IEEE Access, Institute of Electrical and Electronics Engineers (IEEE), vol. 9, pp. 91564–91574, 2021. [doi] [Bibtex & Downloads]
  • 98. S. Ullah, S. S. Sahoo, A. Kumar, "CLAppED: A Design Framework for Implementing Cross-Layer Approximation in FPGA-based Embedded Systems", In Proceeding: 2021 58th ACM/IEEE Design Automation Conference (DAC), pp. 1-6, Jul 2021. [Bibtex & Downloads]
  • 97. Salim Ullah, Tuan Duy Anh Nguyen, Akash Kumar, "Energy-Efficient Low-Latency Signed Multiplier for FPGA-Based Hardware Accelerators", In IEEE Embedded Systems Letters, Institute of Electrical and Electronics Engineers (IEEE), vol. 13, no. 2, pp. 41–44, Jun 2021. [doi] [Bibtex & Downloads]
  • 96. Siva Satyendra Sahoo, Akhil Raj Baranwal, Salim Ullah, Akash Kumar, "MemOReL: A Memory-Oriented Optimization Approach to Reinforcement Learning on FPGA-Based Embedded Systems", Association for Computing Machinery, pp. 339–346, New York, NY, USA, June 2021. [doi] [Bibtex & Downloads]
  • 95. Mark Wijtvliet, Akash Kumar, Henk Corporaal, "CGRA-EAM - Rapid energy and area estimation for coarse-grained reconfigurable architectures" (to appear), ACM, New York, NY, USA, June 2021. [Bibtex & Downloads]
  • 94. Mehdi Moghaddamfar, Christian Färber, Wolfgang Lehner, Norman May, Akash Kumar, "Resource-Efficient Database Query Processing on FPGAs", Proceedings of the 17th International Workshop on Data Management on New Hardware (DaMoN 2021), ACM, Jun 2021. [doi] [Bibtex & Downloads]
  • 93. Maartje Roosmalen, Anna Herrmann, Akash Kumar, "A review of prefabricated self-sufficient facades with integrated decentralised HVAC and renewable energy generation and storage", In Energy and Buildings, Elsevier BV, pp. 111107, May 2021. [doi] [Bibtex & Downloads]
  • 92. Cecilia De la Parra, Ahmed El-Yamany, Taha Soliman, Akash Kumar, Norbert Wehn, Andre Guntoro, "Exploiting Resiliency for Kernel-Wise CNN Approximation Enabled by Adaptive Hardware Design", In Proceeding: 2021 IEEE International Symposium on Circuits and Systems (ISCAS), May 2021. [Bibtex & Downloads]
  • 91. Zahra Ebrahimi, Akash Kumar, "BioCare: An Energy-Efficient CGRA for Bio-Signal Processing at the Edge", In Proceeding: 2021 IEEE International Symposium on Circuits and Systems (ISCAS), IEEE, May 2021. [doi] [Bibtex & Downloads]
  • 90. Max Sponner, Bernd Waschneck, Akash Kumar, "Compiler Toolchains for Deep Learning Workloads on Embedded Platforms", April 2021. [Bibtex & Downloads]
  • 89. Behnaz Ranjbar, Ali Hosseinghorban, Siva Satyendra Sahoo, Alireza Ejlali, Akash Kumar, "Improving the Timing Behaviour of Mixed-Criticality Systems Using Chebyshev's Theorem", In Proceeding: 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 264-269, Feb. 2021. [doi] [Bibtex & Downloads]
  • 88. Shubham Rai, Walter Lau Neto, Yukio Miyasaka, Xinpei Zhang, Mingfei Yu, Qingyang Yi, Masahiro Fujita, Guilherme B. Manske, Matheus F. Pontes, Leomar S. da Rosa, Marilton S. de Aguiar, Paulo F. Butzen, Po-Chun Chien, Yu-Shan Huang, Hoa-Ren Wang, Jie-Hong R. Jiang, Jiaqi Gu, Zheng Zhao, Zixuan Jiang, David Z. Pan, Brunno A. de Abreu, Isac de Souza Campos, Augusto Berndt, Cristina Meinhardt, Jonata T. Carvalho, Mateus Grellert, Sergio Bampi, Aditya Lohana, Akash Kumar, Wei Zeng, Azadeh Davoodi, Rasit O. Topaloglu, Yuan Zhou, Jordan Dotzel, Yichi Zhang, Hanyu Wang, Zhiru Zhang, Valerio Tenace, Pierre-Emmanuel Gaillardon, Alan Mishchenko, Satrajit Chatterjee, "Logic Synthesis Meets Machine Learning: Trading Exactness for Generalization", In Proceeding: 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE), IEEE, Feb 2021. [doi] [Bibtex & Downloads]
  • 87. Shubham Rai, Mengyun Liu, Anteneh Gebregiorgis, Debjyoti Bhattacharjee, Krishnendu Chakrabarty, Said Hamdioui, Anupam Chattopadhyay, Jens Trommer, Akash Kumar, "Perspectives on Emerging Computation-in-Memory Paradigms" (to appear), In Proceeding: 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE), IEEE, Feb 2021. [doi] [Bibtex & Downloads]
  • 86. Shubham Rai, Heinz Riener, Giovanni De Micheli, Akash Kumar, "Preserving Self-Duality During Logic Synthesis for Emerging Reconfigurable Nanotechnologies", In Proceeding: 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE), IEEE, Feb 2021. [doi] [Bibtex & Downloads]
  • 85. Shubham Rai, Siddharth Garg, Christian Pilato, Vladimir Herdt, Elmira Moussavi, Dominik Sisejkovic, Ramesh Karri, Rolf Drechsler, Farhad Merchant, Akash Kumar, "Vertical IP Protection of the Next-Generation Devices: Quo Vadis?" (to appear), In Proceeding: 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE), IEEE, Feb 2021. [doi] [Bibtex & Downloads]
  • 84. Sadia Moriam, Elke Franz, Paul Walther, Akash Kumar, Thorsten Strufe, Gerhard Fettweis, "Efficient Communication Protection of Many-Core Systems against Active Attackers", In Electronics, MDPI AG, vol. 10, no. 3, pp. 238, Jan 2021. [doi] [Bibtex & Downloads]
  • 83. Siva Satyendra Sahoo, Behnaz Ranjbar, Akash Kumar, "Reliability-Aware Resource Management in Multi-/Many-Core Systems: A Perspective Paper", In Journal of Low Power Electronics and Applications, MDPI AG, vol. 11, no. 1, pp. 7, Jan 2021. [doi] [Bibtex & Downloads]
  • 82. Cecilia De la Parra, Xuyi Wu, Akash Kumar, Andre Guntoro, "Knowledge Distillation and Gradient Estimation for Active Error Compensation in Approximate Neural Networks", In Proceeding: 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2021. [Bibtex & Downloads]
  • 81. Cecilia De la Parra, Andre Guntoro, Akash Kumar, "Efficient Accuracy Recovery in Approximate Neural Networks by Systematic Error Modelling", In Proceeding: 2021 Asia and South Pacific Design Automation Conference (ASPDAC), 2021. [Bibtex & Downloads]
  • 80. Ilia Polian, Frank Altmann, Tolga Arul, Christian Boit, Lucas Davi, Rolf Drechsler, Nan Du, Thomas Eisenbarth, Tim Güneysu, Sascha Herrmann, Matthias Hiller, Rainer Leupers, Farhad Merchant, Thomas Mussenbrock, Stefan Katzenbeisser, Akash Kumar, Wolfgang Kunz, Thomas Mikolajick, Vivek Pachauri, Jean-Pierre Seifert, Frank Sill Torres, Jens Trommer, "Nano Security: From Nano-Electronics To Secure Systems", In Proceeding: 2021 Design and Automation & Test in Europe Conference & Exhibition (DATE), 2021. [Bibtex & Downloads]
  • 79. Salim Ullah, Semeen Rehman, Muhammad Shafique, Akash Kumar, "High-Performance Accurate and Approximate Multipliers for FPGA-based Hardware Accelerators", In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Institute of Electrical and Electronics Engineers (IEEE), pp. 1–1, 2021. [doi] [Bibtex & Downloads]
  • 78. Gopal Raut, Shubham Rai, Santosh Kumar Vishvakarma, Akash Kumar, "RECON: Resource-Efficient CORDIC-Based Neuron Architecture", In IEEE Open Journal of Circuits and Systems, Institute of Electrical and Electronics Engineers (IEEE), vol. 2, pp. 170–181, 2021. [doi] [Bibtex & Downloads]
  • 2020

  • 77. Akhil Raj Baranwal, Salim Ullah, Siva Satyendra Sahoo, Akash Kumar, "ReLAccS: A Multi-level Approach to Accelerator Design for Reinforcement Learning on FPGA-based Systems", In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Institute of Electrical and Electronics Engineers (IEEE), pp. 1–1, 28 October 2020. [doi] [Bibtex & Downloads]
  • 76. Arlene John, Salim Ullah, Akash Kumar, Barry Cardiff, Deepu John, "An Approximate Binary Classifier for Data Integrity Assessment in IoT Sensors", In Proceeding: 2020 27th IEEE International Conference on Electronics, Circuits and Systems (ICECS), IEEE, Nov 2020. [doi] [Bibtex & Downloads]
  • 75. Shubham Rai, Satwik Patnaik, Ansh Rupani, Johann Knechtel, Ozgur Sinanoglu, Akash Kumar, "Security Promises and Vulnerabilities in Emerging Reconfigurable Nanotechnology-Based Circuits", In IEEE Transactions on Emerging Topics in Computing, Institute of Electrical and Electronics Engineers (IEEE), pp. 1–1, 2020. [doi] [Bibtex & Downloads]
  • 74. Siva Satyendra Sahoo, Bharadwaj Veeravalli, Akash Kumar, "Markov Chain-based Modeling and Analysis of Checkpointing with Rollback Recovery for Efficient DSE in Soft Real-time Systems", In Proceeding: 2020 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2020, ESA-ESRIN, Frascati (Rome) Italy, October 19-21, 2020, October 2020. [Bibtex & Downloads]
  • 73. Behnaz Ranjbar, Bardia Safaei, Alireza Ejlali, Akash Kumar, "FANTOM: Fault Tolerant Task-Drop Aware Scheduling for Mixed-Criticality Systems", In IEEE Access, Institute of Electrical and Electronics Engineers (IEEE), pp. 1–1, October 2020. [doi] [Bibtex & Downloads]
  • 72. Cecilia De la Parra, Andre Guntoro, Akash Kumar, "Full Approximation of Deep Neural Networks through Efficient Optimization", In Proceeding: 2020 IEEE International Symposium on Circuits and Systems (ISCAS), IEEE, Oct 2020. [doi] [Bibtex & Downloads]
  • 71. Zahra Ebrahimi, Salim Ullah, Akash Kumar, "SIMDive: Approximate SIMD Soft Multiplier-Divider for FPGAs with Tunable Accuracy", Proceedings of the 2020 on Great Lakes Symposium on VLSI, ACM, Sep 2020. [doi] [Bibtex & Downloads]
  • 70. S. S. Sahoo, B. Veeravalli, A. Kumar, "CL(R)Early: An Early-stage DSE Methodology for Cross-Layer Reliability-aware Heterogeneous Embedded Systems", In Proceeding: 2020 57th ACM/IEEE Design Automation Conference (DAC), pp. 1-6, 2020. [doi] [Bibtex & Downloads]
  • 69. Cecilia De la Parra, Andre Guntoro, Akash Kumar, "Improving approximate neural networks for perception tasks through specialized optimization", In Future Generation Computer Systems, vol. 113, pp. 597 - 606, July 2020. [doi] [Bibtex & Downloads]
  • 68. Gopal Raut, Shubham Rai, Santosh Kumar Vishvakarma, Akash Kumar, "A CORDIC Based Configurable Activation Function for ANN Applications", In Proceeding: 2020 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), IEEE, Jul 2020. [doi] [Bibtex & Downloads]
  • 67. M. Raitza, S. Märcker, J. Trommer, A. Heinzig, S. Klüppelholz, C. Baier, A. Kumar, "Quantitative Characterization of Reconfigurable Transistor Logic Gates", In IEEE Access, pp. 1-1, June 2020. [Bibtex & Downloads]
  • 66. S. Gupta, S. Ullah, K. Ahuja, A. Tiwari, A. Kumar, "ALigN: A Highly Accurate Adaptive Layerwise Log_2_Lead Quantization of Pre-Trained Neural Networks", In IEEE Access, vol. 8, pp. 118899-118911, June 2020. [Bibtex & Downloads]
  • 65. S. Ullah, H. Schmidl, S. S. Sahoo, S. Rehman, A. Kumar, "Area-optimized Accurate and Approximate Softcore Signed Multiplier Architectures", In IEEE Transactions on Computers, April 2020. [Bibtex & Downloads]
  • 64. S. Ullah, S. Gupta, K. Ahuja, A. Tiwari, A. Kumar, "L2L: A HIGHLY ACCURATE LOG_2_LEAD QUANTIZATION OF PRE-TRAINED NEURAL NETWORKS", In Proceeding: 2020 Design, Automation Test in Europe Conference Exhibition (DATE), March 2020. [Bibtex & Downloads]
  • 63. C. D. L. Parra, A. Guntoro, A. Kumar, "PROXSIM: SIMULATION FRAMEWORK FOR CROSS-LAYER APPROXIMATE DNN OPTIMIZATION", In Proceeding: 2020 Design, Automation Test in Europe Conference Exhibition (DATE), March 2020. (Best paper nominee) [Bibtex & Downloads]
  • 62. S. Potluri, A. Aysu, A. Kumar, "SeqL: Secure Scan-Locking for IP Protection", In Proceeding: 21st International Symposium on Quality Electronic Design (ISQED), March 2020. [Bibtex & Downloads]
  • 61. Shubham Rai, Michael Raitza, Siva Satyendra Sahoo, Akash Kumar, "DiSCERN: Distilling Standard-Cells for Emerging Reconfigurable Nanotechnologies", In Proceeding: 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE), IEEE, Mar 2020. [doi] [Bibtex & Downloads]
  • 60. Tuan D. A. Nguyen, Akash Kumar, "Maximizing the Serviceability of Partially Reconfigurable FPGA Systems in Multi-tenant Environment", In Proceeding: 28th International Symposium on Field-Programmable Gate Arrays, February 2020. [Bibtex & Downloads]
  • 59. Zahra Ebrahimi, Salim Ullah, Akash Kumar, "LeAp: Leading-one Detection-based Softcore Approximate Multipliers with Tunable Accuracy", In Proceeding: 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC), IEEE, Jan 2020. [doi] [Bibtex & Downloads]
  • 58. Shubham Rai, Heinz Riener, Giovanni De Micheli, Akash Kumar, "XMG-based Logic Synthesis for Emerging Reconfigurable Nanotechnologies", [Proceedings of the 29th International Workshop on Logic & Synthesis (IWLS 2020)], no. CONF, 2020. [Bibtex & Downloads]
  • 2019

  • 57. Rohit Agrawal, Kapil Ahuja, Chin Hau Hoo, Tuan Duy Anh Nguyen, Akash Kumar, "ParaLarPD: Parallel FPGA Router Using Primal-Dual Sub-Gradient Method", In Electronics, vol. 8, no. 12, December 2019. [doi] [Bibtex & Downloads]
  • 56. M. Mousavi, H. R. Pourshaghaghi, H. Corporaal, A. Kumar, "Scatter Scrubbing: A Method to Reduce SEU Repair Time in FPGA Configuration Memory", In Proceeding: 2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), pp. 1-6, Oct 2019. [doi] [Bibtex & Downloads]
  • 55. Nusrat Jahan Lisa, Tuan D. A. Nguyen, Dirk Habich, Akash Kumar, Wolfgang Lehner, "High-Throughput Bit Packing Compression", In Proceeding: Euromicro DSD, August 2019. [Bibtex & Downloads]
  • 54. Behnaz Ranjbar, Tuan D. A. Nguyen, A. Ejlali, A. Kumar, "Online Peak Power and Maximum Temperature Management in Multi-Core Mixed-Criticality Embedded Systems", In Proceeding: Euromicro Conference on Digital System Design (DSD), pp. 546-553, August 2019. [doi] [Bibtex & Downloads]
  • 53. Ansh Rupani, Shubham Rai, Akash Kumar, "Exploiting Emerging Reconfigurable Technologies for Secure Devices", In Proceeding: 2019 22nd Euromicro Conference on Digital System Design (DSD), IEEE, Aug 2019. [doi] [Bibtex & Downloads]
  • 52. Shubham Rai, Ansh Rupani, Pallab Nath, Akash Kumar, "Hardware Watermarking Using Polymorphic Inverter Designs Based On Reconfigurable Nanotechnologies", In Proceeding: 2019 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), IEEE, Jul 2019. [doi] [Bibtex & Downloads]
  • 51. S. S. Sahoo, B. Veeravalli, A. Kumar, "A Hybrid Agent-based Design Methodology for Dynamic Cross-layer Reliability in Heterogeneous Embedded Systems", Proceedings of the 56th Annual Design Automation Conference, ACM, New York, NY, USA, June 2019. [doi] [Bibtex & Downloads]
  • 50. Adarsha Balaji, Salim Ullah, Anup Das, Akash Kumar, "Design Methodology for Embedded Approximate Artificial Neural Networks", Proceedings of the 2019 on Great Lakes Symposium on VLSI, ACM, pp. 489–494, New York, NY, USA, May 2019. [doi] [Bibtex & Downloads]
  • 49. Mohammed Alser, Hasan Hassan, Akash Kumar, Onur Mutlu, Can Alkan, "Shouji: a fast and efficient pre-alignment filter for sequence alignment", In Bioinformatics, vol. 35, no. 21, pp. 4255-4263, 03/2019. [doi] [Bibtex & Downloads]
  • 48. Shubham Rai, Jens Trommer, Michael Raitza, Thomas Mikolajick, Walter M. Weber, Akash Kumar, "Designing Efficient Circuits Based on Runtime-Reconfigurable Field-Effect Transistors", In IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Institute of Electrical and Electronics Engineers (IEEE), vol. 27, no. 3, pp. 560–572, Mar 2019. [doi] [Bibtex & Downloads]
  • 47. Gerhard Fettweis, Meik Dörpinghaus, Jeronimo Castrillon, Akash Kumar, Christel Baier, Karlheinz Bock, Frank Ellinger, Andreas Fery, Frank H. P. Fitzek, Hermann Härtig, Kambiz Jamshidi, Thomas Kissinger, Wolfgang Lehner, Michael Mertig, Wolfgang E. Nagel, Giang T. Nguyen, Dirk Plettemeier, Michael Schröter, Thorsten Strufe, "Architecture and Advanced Electronics Pathways Toward Highly Adaptive Energy-Efficient Computing", In Proceedings of the IEEE, vol. 107, no. 1, pp. 204–231, Jan 2019. [doi] [Bibtex & Downloads]
  • 2018

  • 46. S. S. Sahoo, T. D. A. Nguyen, B. Veeravalli, A. Kumar, "QoS-Aware Cross-Layer Reliability-Integrated FPGA-Based Dynamic Partially Reconfigurable System Partitioning", In Proceeding: 2018 International Conference on Field-Programmable Technology (FPT), pp. 230-233, Dec 2018. [doi] [Bibtex & Downloads]
  • 45. Shubham Rai, Srivatsa Srinivasa, Patsy Cadareanu, Xunzhao Yin, Xiaobo Sharon Hu, Pierre-Emmanuel Gaillardon, Vijaykrishnan Narayanan, Akash Kumar, "Emerging Reconfigurable Nanotechnologies: Can They Support Future Electronics?", Proceedings of the International Conference on Computer-Aided Design, ACM, pp. 13:1–13:8, New York, NY, USA, November 2018. [doi] [Bibtex & Downloads]
  • 44. S.S. Sahoo, T.D.A. Nguyen, B. Veeravalli, A. Kumar, "Multi-objective design space exploration for system partitioning of FPGA-based Dynamic Partially Reconfigurable Systems", In Integration, November 2018. [doi] [Bibtex & Downloads]
  • 43. Rohit Agrawal, Chin Hao Hoo, Kapil Ahuja, Akash Kumar, "Parallel FPGA Router using Sub-Gradient method and Steiner tree", In arXiv preprint arXiv:1803.03885, August 2018. [Bibtex & Downloads]
  • 42. Jeronimo Castrillon, Matthias Lieber, Sascha Klüppelholz, Marcus Völp, Nils Asmussen, Uwe Assmann, Franz Baader, Christel Baier, Gerhard Fettweis, Jochen Fröhlich, Andrés Goens, Sebastian Haas, Dirk Habich, Hermann Härtig, Mattis Hasler, Immo Huismann, Tomas Karnagel, Sven Karol, Akash Kumar, Wolfgang Lehner, Linda Leuschner, Siqi Ling, Steffen Märcker, Christian Menard, Johannes Mey, Wolfgang Nagel, Benedikt Nöthen, Rafael Peñaloza, Michael Raitza, Jörg Stiller, Annett Ungethüm, Axel Voigt, Sascha Wunderlich, "A Hardware/Software Stack for Heterogeneous Systems", In IEEE Transactions on Multi-Scale Computing Systems, vol. 4, no. 3, pp. 243-259, Jul 2018. [doi] [Bibtex & Downloads]
  • 41. Nusrat Jahan Lisa, Annett Ungethüm, Dirk Habich, Nguyen Duy Anh Tuan, Akash Kumar, Wolfgang Lehner, "Column Scan Optimization by Increasing Intra-Instruction Parallelism", Proceedings of the 7th International Conference on Data Science, Technology and Applications (DATA), July 2018. (Best Paper Award) [Bibtex & Downloads]
  • 40. Salim Ullah, Sanjeev Sripadraj Murthy, Akash Kumar, "SMApproxlib: library of FPGA-based approximate multipliers", Proceedings of the 55th Annual Design Automation Conference, pp. 157, June 2018. [Bibtex & Downloads]
  • 39. Salim Ullah, Semeen Rehman, Bharath Srinivas Prabakaran, Florian Kriebel, Muhammad Abdullah Hanif, Muhammad Shafique, Akash Kumar, "Area-optimized low-latency approximate multipliers for FPGA-based hardware accelerators", Proceedings of the 55th Annual Design Automation Conference, pp. 159, June 2018. [Bibtex & Downloads]
  • 38. Sadia Moriam, Elke Franz, Paul Walther, Akash Kumar, Thorsten Strufe, Gerhard Fettweis, "Protecting Communication in Many-Core Systems against Active Attackers", Proceedings of the 2018 on Great Lakes Symposium on VLSI, pp. 45–50, May 2018. [Bibtex & Downloads]
  • 37. Anup Das, Akash Kumar, "Dataflow-Based Mapping of Spiking Neural Networks on Neuromorphic Hardware", Proceedings of the 2018 on Great Lakes Symposium on VLSI, pp. 419–422, May 2018. [Bibtex & Downloads]
  • 36. Mohammad Shihabul Haque, Sriram Vasudevan, Alamuri Sriram Nihar, Arvind Easwaran, Akash Kumar, YC Tay, "A Self-Reconfiguring Cache Architecture to Improve Control Quality in Cyber-Physical Systems", In Proceeding: 2018 IEEE 21st International Symposium on Real-Time Distributed Computing (ISORC), pp. 116–123, May 2018. [Bibtex & Downloads]
  • 35. Bjorn Gottschall, Thomas PreuBer, Akash Kumar, "Reloc—An Open-Source Vivado Workflow for Generating Relocatable End-User Configuration Tiles", In Proceeding: 2018 IEEE 26th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), pp. 211–211, April 2018. [Bibtex & Downloads]
  • 34. B. S. Prabakaran, S. Rehman, M. A. Hanif, S. Ullah, G. Mazaheri, A. Kumar, M. Shafique, "DeMAS: An efficient design methodology for building approximate adders for FPGA-based systems", In Proceeding: 2018 Design, Automation Test in Europe Conference Exhibition (DATE), pp. 917-920, March 2018. [doi] [Bibtex & Downloads]
  • 33. Shubham Rai, Michael Raitza, Akash Kumar, "Technology mapping flow for emerging reconfigurable silicon nanowire transistors", In Proceeding: 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), IEEE, Mar 2018. [doi] [Bibtex & Downloads]
  • 32. Shubham Rai, Ansh Rupani, Dennis Walter, Michael Raitza, Andre Heinzig, Tim Baldauf, Jens Trommer, Christian Mayr, Walter M. Weber, Akash Kumar, "A physical synthesis flow for early technology evaluation of silicon nanowire based reconfigurable FETs", In Proceeding: 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), IEEE, Mar 2018. [doi] [Bibtex & Downloads]
  • 31. Chin Hau Hoo, Akash Kumar, "ParaDRo: A Parallel Deterministic Router Based on Spatial Partitioning and Scheduling", Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, pp. 67–76, 2018. [Bibtex & Downloads]
  • 30. Hermann Härtig, Nils Asmussen, Jeronimo Castrillon, Adam Lackorzynski, Michael Roitzsch, Carsten Weinhold, Akash Kumar, "Extremely Heterogeneous Systems – Not Just For Niches", In Proceeding: Extreme Heterogeneity Workshop, Feb 2018. [Bibtex & Downloads]
  • 29. Siva Satyendra Sahoo, Bharadwaj Veeravalli, Akash Kumar, "CLRFrame: An Analysis Framework for Designing Cross-Layer Reliability in Embedded Systems", In Proceeding: 2018 31st International Conference on VLSI Design and 2018 17th International Conference on Embedded Systems (VLSID), pp. 1-6, Jan 2018. [Bibtex & Downloads]
  • 28. Siva Satyendra Sahoo, Tuan Duy Anh Nguyen, B. Veeravalli, Akash Kumar, "Lifetime-aware Design Methodology for Dynamic Partially Reconfigurable Systems", In Proceeding: 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 1-6, Jan 2018. [Bibtex & Downloads]
  • 27. Anup Kumar Das, Akash Kumar, Bharadwaj Veeravalli, Francky Catthoor, "Reliable and Energy Efficient Streaming Multiprocessor Systems", Springer, 2018. [Bibtex & Downloads]
  • 2017

  • 26. Ang Li, Shuaiwen Leon Song, Weifeng Liu, Xu Liu, Akash Kumar, Henk Corporaal, "Locality-Aware CTA Clustering For Modern GPUs", In Proceeding: Architectural Support for Programming Languages and Operating Systems (ASPLOS '17), April 2017. [Bibtex & Downloads]
  • 25. Chin Hau Hoo, Akash Kumar, "ParaDiMe: A Distributed Memory FPGA Router Based on Speculative Parallelism and Path Encoding", In Proceeding: Field-Programmable Custom Computing Machines (FCCM), April 2017. [Bibtex & Downloads]
  • 24. Martin Brüstel, Akash Kumar, "Accounting for Systematic Errors in Approximate Computing", Proceedings of the 2017 Design, Automation & Test in Europe Conference & Exhibition, March 2017. [Bibtex & Downloads]
  • 23. Walaa El-Harouni, Semeen Rehman, Bharath Srinivas Prabakaran, Akash Kumar, Rehan Hafiz, Muhammad Shafique, "Embracing Approximate Computing for Energy-Efficient Motion Estimation in High Efficiency Video Coding", Proceedings of the 2017 Design, Automation & Test in Europe Conference & Exhibition, March 2017. (Best paper nominee) [Bibtex & Downloads]
  • 22. Arun Subramaniyan, Semeen Rehman, Muhammad Shafique, Akash Kumar, Jörg Henkel, "Soft Error-Aware Architectural Exploration for Designing Reliability Adaptive Cache Hierarchies in Multi-Cores", Proceedings of the 2017 Design, Automation & Test in Europe Conference & Exhibition, March 2017. [Bibtex & Downloads]
  • 21. Michael Raitza, Jens Trommer, Akash Kumar, Marcus Völp, Dennis Walter, Walter Weber, Thomas Mikolajick, "Exploiting Transistor-Level Reconfiguration to Optimize Combinational Circuits", Proceedings of the 2017 Design, Automation & Test in Europe Conference & Exhibition, March 2017. [Bibtex & Downloads]
  • 20. Rui Santos, Shyamsundar Venkataraman, Akash Kumar, "Scrubbing Mechanism for Heterogeneous Applications in Reconfigurable Devices", In ACM Transactions on Design Automation of Electronic Systems (TODAES), 2017. [Bibtex & Downloads]
  • 2016

  • 19. Semeen Rehman, Walaa El-Harouni, Muhammad Shafique, Akash Kumar, Jörg Henkel, "Architectural-Space Exploration of Approximate Multipliers", Proceedings of the International Conference on Computer-Aided Design (ICCAD), Nov 2016. [Bibtex & Downloads]
  • 18. Nam Khanh Pham, Akash Kumar, Khin Mi Mi Aung, "Automatic framework to generate reconfigurable accelerators for option pricing applications", In Proceeding: International Conference on Reconfigurable Computing and FPGAs (ReConFig), Nov 2016. [Bibtex & Downloads]
  • 17. Siva Satyendra Sahoo, Bharadwaj Veeravalli, Akash Kumar, "Cross-layer fault-tolerant design of real-time systems", In Proceeding: International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS), pp. 1–6, Sept 2016. [Bibtex & Downloads]
  • 16. Amit Kumar Singh, Muhammad Shafique, Akash Kumar, Jörg Henkel, "Analysis and Mapping for Thermal and Energy Efficiency of 3-D Video Processing on 3-D Multicore Processors", In IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. PP, no. 99, pp. 1-14, Aug 2016. [doi] [Bibtex & Downloads]
  • 15. Tuan D. A. Nguyen, Akash Kumar, "XNoC: A Non-intrusive TDM Circuit-Switched Network-on-Chip", In Proceeding: International Conference on Field Programmable Logic and Applications (FPL), pp. 1-11, Aug 2016. [Bibtex & Downloads]
  • 14. Chin Hau Hoo, Yajun Ha, Akash Kumar, "ParaFRo: A Hybrid Parallel FPGA Router using Fine Grained Synchronization and Partitioning", In Proceeding: International Conference on Field Programmable Logic and Applications (FPL), pp. 1–11, Aug 2016. [Bibtex & Downloads]
  • 13. Ang Li, Shuaiwen Leon Song, Mark Wijtvliet, Akash Kumar, Henk Corporaal, "SFU-Driven Transparent Approximation Acceleration on GPUs", Proceedings of the 2016 International Conference on Supercomputing, pp. 15, Jun 2016. [Bibtex & Downloads]
  • 12. Ang Li, Leon Shuaiwen Song, Eric Brugel, Akash Kumar, Daniel Chavarria, Henk Corporaal, "X: A Comprehensive Analytic Model for Parallel Machines", In Proceeding: 30th International Parallel and Distributed Processing Symposium (IPDPS), May 2016. [Bibtex & Downloads]
  • 11. Pham Nam Khanh, Akash Kumar, Khin Mi Mi Aung, "Machine Learning Approach to Generate Pareto Front for List-scheduling Algorithms", Proceedings of the 19th International Workshop on Software and Compilers for Embedded Systems, SCOPES, Sankt Goar, Germany, May 23-25, 2016, pp. 127–134, May 2016. (Awarded with Best presentation award of SCOPES 2016) [doi] [Bibtex & Downloads]
  • 10. Ang Li, Leon Shuaiwen Song, Akash Kumar, Eddy Z. Zhang, Daniel Chavarria Gerardo, Henk Corporaal, "Critical Points Based Register-Concurrency Autotuning for GPUs", In Proceeding: Design, Automation and Test in Europe Conference and Exhibition (DATE), March 2016. [Bibtex & Downloads]
  • 9. Shyamsundar Venkataraman, Rui Santos, Akash Kumar, "A Flexible Inexact TMR Technique for SRAM-based FPGAs", In Proceeding: Design, Automation and Test in Europe Conference and Exhibition (DATE), March 2016. [Bibtex & Downloads]
  • 8. Siva Satyendra Sahoo, Akash Kumar, Bharadwaj Veeravalli, "Design and Evaluation of Reliability-oriented Task Re-Mapping in MPSoCs usingTime-Series Analysis of Intermittent faults", In Proceeding: Design, Automation and Test in Europe Conference and Exhibition (DATE), Mar 2016. [Bibtex & Downloads]
  • 7. Tuan D. A. Nguyen, Akash Kumar, "PRFloor: An Automatic Floorplanner for Partially Reconfigurable FPGA Systems", In Proceeding: The 24th ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA), Feb 2016. [Bibtex & Downloads]
  • 6. Amit Kumar Singh, Mohammad Shafique, Akash Kumar, Joerg Henkel, "Resource and Throughput Aware Execution Trace Analysis for Efficient Run-Time Mapping on MPSoCs", In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 35, no. 1, pp. 72-85, Jan 2016. [doi] [Bibtex & Downloads]
  • 5. Pham Nam Khanh, Amit Kumar Singh, Akash Kumar, Khin Mi Mi Aung, "Leakage Aware Resource Management Approach with Machine Learning Optimization Framework for Partially Reconfigurable Architectures", In Microprocessors and Microsystems, 2016. [Bibtex & Downloads]
  • 2015

  • 4. Ang Li, Gert-Jan van den Braak, Akash Kumar, Henk Corporaal, "Adaptive and transparent cache bypassing for GPUs", Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, pp. 17, Nov 2015. [Bibtex & Downloads]
  • 3. R. Santos, S. Venkataraman, Akash Kumar, "Generic Scrubbing-based Architecture for Custom Error Correction Algorithms", In Proceeding: Rapid System Prototyping (RSP), 2015 26th IEEE International Symposium on, Oct 2015. [Bibtex & Downloads]
  • 2. Shakith Fernando, Mark Wijtvliet, Cedric Nugteren, Akash Kumar, Henk Corporaal, "(AS)2: Accelerator synthesis using algorithmic skeletons for rapid design space exploration", In Proceeding: 2015 Design, Automation Test in Europe Conference Exhibition (DATE), pp. 305-308, 2015. [Bibtex & Downloads]
  • 2013

  • 1. Shakith Fernando, Mark Wijtvliet, Firew Siyoum, Yifan He, Sander Stuijk, Akash Kumar, Henk Corporaal, "MAMPSX: A demonstration of rapid, predictable HMPSOC synthesis", In Proceeding: 2013 23rd International Conference on Field programmable Logic and Applications, pp. 1-1, 2013. [Bibtex & Downloads]

Former Publications Outside cfaed

Please note that the copyright of some articles may not be owned by me. Please comply with the respective owner's copyright in such cases.

The BibTeX entries can be found in this Akash Kumar.bib file (last updated in 2015). The list of publications is grouped in the following categories: Patents, Theses, Books and Book Chapters, Journal Papers, Conference Papers, and Reports.

Patents
  1. Pipelined Reed-Solomon Decoder

    International Application Number: PCT/IB2006/054745, filed on Dec 11, 2006.
    Europe Patent Application Number: 05111971.7, filed on Dec 12, 2005.

  2. Method And Apparatus For Syndrome Calculation

    International Application Number: PCT/IB2006/052151, filed on June 28, 2006.
    Europe Patent Application Number: 05105878.2, filed on June 30, 2005.

Theses
  1. Analysis, Design and Management of Multimedia Multiprocessor Systems

    Akash Kumar
    Ph.D. Thesis, submitted to Eindhoven University of Technology, The Netherlands and National University of Singapore, April 2009.
    ISBN: 978-90-386-1642-1.

  2. High-Throughput Reed Solomon Decoded for Ultra Wide Band (PDF)

    Akash Kumar
    In: Masters Thesis Dec 2004.
    National University of Singapore and Technical University of Eindhoven.

  3. Wavelength Channel Scheduling Using Fragmentation Approach in Optical Burst Switching Networks

    Akash Kumar
    In: Bachelors Thesis 2002.
    National University of Singapore.

Books and Book Chapters
  1. Implementing Time-Constrained Applications on a Predictable MPSoC

    Sander Stuijk, Akash Kumar, Roel Jordans and Henk Corporaal.
    In M. Qadri and S Sangwine, editors, Multicore Technology: Architecture, Reconfiguration, and Modeling
    ISBN: 978-1-439880-63-0
    CRC Press, Boca Raton, Fl, USA, 2013.
    DOI: 10.1201/b15268-4

  2. Multimedia Multiprocessor Systems: Analysis, Design and Management

    Akash Kumar, Henk Corporaal, Bart Mesman and Yajun Ha
    1st Edition., 2010, XVI, 163 pages, Hardcover.
    ISBN: 978-94-007-0083-3
    Springer, 2010.
    DOI: 10.1007/978-94-007-0083-3

  3. EE4214(E) Real Time Embedded Systems

    Akash Kumar
    Compiled for the course EE4214 offered in National University of Singapore from the following two books:
    1.Real Time Systems by Jane Liu, ISBN: 9780130996510.
    2.Operating Systems Principles by Lubomir Bic and Alan Shaw. ISBN: 9780131224551.
    ISBN: 978-981-06-8549-2
    Published by Pearson, 2010.

  4. High-Throughput and Low-Power Reed Solomon Decoded for Ultra Wide Band

    Akash Kumar and Sergei Sawitzki
    In: Wim Verhaegh, Emile Aarts, and Jan Korst(Eds.): Intelligent Algorithms, Philips Research Book Series, Vol. 7, pp. 299-316, ISBN: 1-4020-4953-6.
    Springer, 2006.
    DOI: 10.1007/1-4020-4995-1_17

  5. Membrane systems and distributed computing (PDF)

    G. Ciobanu, R. Desai, A. Kumar
    In: Gh.Paun, G.Rozenberg, A.Salomaa, C.Zandron (Eds.): Membrane Computing, Lecture Notes in Computer Science, Vol. 2597, pp. 187-202, ISSN: 0302-9743.
    Springer, 2003.
    DOI: 10.1007/3-540-36490-0_12

Journal Papers
  1. Correlation ratio based volume image registration on GPUs (PDF)

    Ang Li, Akash Kumar, Yajun Ha, Henk Corporaal
    In: Elsevier Microprocessors and Microsystems (Micpro). May 2015.
    Elsevier, 2015.
    DOI: 10.1016/j.micpro.2015.04.002

  2. Execution-Trace Driven Energy-Reliability Optimization for Multimedia MPSoCs

    Anup Das, Amit Kumar Singh and Akash Kumar
    In: ACM Transactions on Reconfigurable Technology and Systems, TRETS. Vol 8, Issue 3, May 2015.
    ACM, 2015.
    DOI: 10.1145/2665071

  3. Nano-satellite swarm for SAR applications: design and robust scheduling

    Chee Khiang Pang, Akash Kumar, Cher Hiang Goh, Cao Vinh Le
    In: IEEE Transactions onAerospace and Electronic Systems, TAES. Vol 51, Issue 2, April 2015.
    IEEE, 2015.
    DOI: 10.1109/TAES.2014.140077

  4. Autonomous Soft-error Tolerance of FPGA Configuration Bits (PDF)

    Anup Das, Shyamsundar Venkataraman and Akash Kumar
    In: ACM Transactions on Reconfigurable Technology and Systems, TRETS. Vol 8, Issue 2, April 2015.
    ACM, 2015.
    DOI: 10.1145/2629580

  5. Reliability and Energy-Aware Mapping and Scheduling of Multimedia Applications on Multiprocessor Systems

    Anup Das, Akash Kumar and Bharadwaj Veeravalli
    In: IEEE Transactions on Parallel and Distributed Systems, TPDS. March 2015.
    IEEE, 2015.
    DOI: 10.1109/TPDS.2015.2412137

  6. A Low Active Leakage and High Reliability Phase Change Memory (PCM) based Non-Volatile FPGA Storage Element (PDF)

    Huang Kejie, Ha Yajun, Zhao Rong, Akash Kumar, Lian Yong
    In: IEEE Transactions on Circuits and Systems I: Regular Papers
    IEEE, 2014.
    DOI: 10.1109/TCSI.2014.2312499

  7. Energy-Aware Task Mapping and Scheduling for Reliable Embedded Computing Systems (PDF)

    Anup Das, Akash Kumar, Bharadwaj Veeravalli
    In: ACM Transactions on Embedded Computing Systems (TECS). Vol 13, 2014, pp. 72:1-72:27, ISSN: 1539-9087.
    ACM, 2014.
    DOI: 10.1145/2544375.2544392

  8. Communication and Migration Energy Aware Task Mapping for Reliable Multiprocessor Systems (PDF)

    Anup Das, Akash Kumar, Bharadwaj Veeravalli
    In: Future Generations Computing Systems. Vol 30, 2014, pp. 216-228, ISSN: 0167-739X.
    Elsevier, 2014.
    DOI: 10.1016/j.future.2013.06.016

  9. CADSE: communication aware design space exploration for efficient run-time MPSoC management (PDF)

    Amit Kumar Singh, Akash Kumar, Jigang Wu, Thambipillai Srikanthan
    In: Frontiers of Computer Science. 2013, ISSN: 2095-2228.
    Springer, 2013.
    DOI: 10.1007/s11704-013-2196-1

  10. Project-based Learning in Embedded Systems Education Using FPGA Platform (PDF)

    Akash Kumar, Shakith Fernando and Rajesh C Panicker
    In: IEEE Transactions on Education. Vol 56, Issue 4, Nov 2013, pp. 407-415, ISSN: 0018-9359.
    IEEE, 2013.
    DOI: 10.1109/TE.2013.2246568

  11. Accelerating Throughput-aware Run-time Mapping for Heterogeneous MPSoCs (PDF)

    Amit Kumar Singh, Akash Kumar and Thambipillai Srikanthan
    In: ACM Transactions on Design Automation of Electronic Systems. Vol 18, Issue 1, Dec 2012, pp. 1-29, ISSN:1084-4309.
    ACM, 2012.
    DOI: 10.1145/2390191.2390200

  12. CA-MPSoC: An Automated Design Flow for Predictable Multi-processor Architectures for Multiple Applications (PDF)

    Ahsan Shabbir, Akash Kumar, Sander Stuijk, Bart Mesman, Henk Corporaal.
    In: Journal of Systems Architecture. Vol 56, Issue 7, July 2010, pp. 265-277, ISSN: 1383-7621.
    Elsevier, 2010.
    DOI: 10.1016/j.sysarc.2010.03.007

  13. Communication-aware heuristics for run-time task mapping on NoC-based MPSoC platforms (PDF)

    Amit Kumar Singh, Thambipillai Srikanthan, Akash Kumar, Wu Jigang.
    In: Journal of Systems Architecture. Vol 56, Issue 7, July 2010, pp. 242-255, ISSN: 1383-7621.
    Elsevier, 2010.
    DOI: 10.1016/j.sysarc.2010.04.007

  14. Iterative Probabilistic Performance Prediction for Multi-Application Multi-Processor Systems (PDF)

    Akash Kumar, Bart Mesman, Henk Corporaal and Yajun Ha
    In: IEEE Transactions in Computer Aided Design. Vol 29, Issue 4, April 2010, pp. 538-551, ISSN:0278-0070.
    IEEE, 2010.
    DOI: 10.1109/TCAD.2010.2042887

  15. Multi-processor Systems Synthesis for Multiple Use-Cases of Multiple Applications on FPGA (PDF)

    Akash Kumar, Shakith Fernando, Yajun Ha, Bart Mesman and Henk Corporaal
    In: ACM Transactions on Design Automation of Electronic Systems. Vol 13, Issue 3, July 2008, pp. 1-27, ISSN:1084-4309.
    ACM, 2008.
    DOI: 10.1145/1367045.1367049

  16. Analyzing Composability of Applications on MPSoC Platforms (PDF)

    Akash Kumar, Bart Mesman, Bart Theelen, Henk Corporaal and Yajun Ha
    In: Journal of Systems Architecture. Vol 54, Issue 3-4, March-April 2008, pp. 369-383. ISSN: 1383-7621.
    Elsevier B.V., 2008.
    DOI: 10.1016/j.sysarc.2007.10.002

  17. Efficient techniques for improved QoS performance in WDM optical burst switched networks (PDF)

    G. Mohan, K. Akash and M. Ashish
    In: Computer Communications, Vol. 28, Issue 7, 2 May 2005, pp. 754-764. ISSN: 0140-3664.
    Elsevier B.V., 2005.
    DOI: 10.1016/j.comcom.2004.10.007

     

Conference Papers

2015

    1. ParaLaR: A Parallel FPGA Router Based on Lagrangian Relaxation

      Hoo Chin Hau, Akash Kumar and Yajun Ha
      In: Proceedings of International Conference on Field Programmable Logic and Applications (FPL), 2-4 Sep 2015
      London, UK. IEEE.

    2. An Automated Technique to Generate Relocatable Partial Bitstreams for Xilinx FPGAs

      Roel Oomen, Tuan Nguyen, Akash Kumar and Henk Corporaal
      In: Proceedings of International Conference on Field Programmable Logic and Applications (FPL), 2-4 Sep 2015
      London, UK. IEEE.

    3. Transit: A Visual Analytical Model for Multithreaded Machine (PDF)

      Ang Li, Akash Kumar, Y.C. Tay and Henk Corporaal
      In: Proceedings of International Symposium on High Performance Distributed Computing (HPDC), 15-19 June 2015
      Portland, USA. IEEE.

    4. Fine-Grained Synchronizations and Dataflow Programming on GPUs (PDF)

      Ang Li, Gert-Jan Van Den Braak, Akash Kumar and Henk Corporaal
      In: Proceedings of International Conference on Supercomputing (ICS), 8-11 June 2015
      Newport Beach, USA. IEEE.

    5. Dynamically Adaptive Scrubbing Mechanism for Improved Reliability in Reconfigurable Embedded Systems (PDF)

      Rui Santos, Shyamsundar Venkatraman, Akash Kumar
      In: Proceedings of Design Automation Conference (DAC), 7-11 June 2015
      San Francisco, USA. IEEE.

    6. Workload Uncertainty Characterization and Adaptive Frequency Scaling for Energy Minimization of Embedded Systems (PDF)

      Anup Das, Akash Kumar, Bharadwaj Veeravalli, Rishad Shafik, Geoff Merrett and Bashir Al-Hashimi
      In: Proceedings of Design Automation and Test in Europe (DATE), 9-13 Mar 2015
      Grenoble, France. IEEE.
      Best Paper Candidate

    7. Designing Inexact Systems Efficiently Using Elimination Heuristics (PDF)

      Shyamsundar Venkatraman, Akash Kumar, Jeremy Schlachter, Christian Enz
      In: Proceedings of Design Automation and Test in Europe (DATE), 9-13 Mar 2015
      Grenoble, France. IEEE.

    8. Exploiting Loop-Array Dependencies to Accelerate the Design Space Exploration with High Level Synthesis (PDF)

      Nam Khanh Pham, Amit Kumar Singh, Akash Kumar and Mi Mi Aung Khin
      In: Proceedings of Design Automation and Test in Europe (DATE), 9-13 Mar 2015
      Grenoble, France. IEEE.

    9. Dynamic Reconfigurable Puncturing for Secure Wireless Communication (PDF)

      Liang Tang, Jude Angelo Ambrose, Akash Kumar and Sri Parameswaran
      In: Proceedings of Design Automation and Test in Europe (DATE), 9-13 Mar 2015
      Grenoble, France. IEEE.

    10. (AS)^2: Accelerator Synthesis using Algorithmic Skeletons for Rapid Design Space Exploration (PDF)

      Shakith Fernando, Mark Wijtvliet, Cedric Nugteren, Akash Kumar and Henk Corporaal
      In: Proceedings of Design Automation and Test in Europe (DATE), 9-13 Mar 2015
      Grenoble, France. IEEE.

    11. Accelerating Non-volatile/Hybrid Processor Cache Design Space Exploration for Application Specific Embedded Systems (PDF)

      Mohammad Shihabul Haque, Ang Li, Qingsong Wei and Akash Kuma
      In: Proceedings of the 20th IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 19-22 Jan 2015
      Tokyo, Japan. IEEE.

2014

    1. A Heterogeneous Platform with GPU and FPGA for Power Efficient High Performance Computing (PDF)

      Wu Qiang, Yajun Ha, Akash Kumar, Luo Shaobo and Mohammad Shihabul Haque
      In: Proceedings of the IEEE International Symposium on Integrated Circuits (ISIC), 10-12 Dec 2014
      Singapore. IEEE.

    2. Design and Robust Scheduling of Nano-Satellite Swarm for Synthetic Aperture Radar Applications (PDF)

      Chee Khiang Pang, Akash Kumar, Cher Hiang Goh, and C. Vincent Le
      In: Proceedings of the 2014 IEEE ICARCV, December 10-12, 2014 (invited)
      Singapore. IEEE.

    3. Lightweight Bare-metal Stateful Firewall (PDF)

      Yihuan Xing, Ford Long Wong, Akash Kumar
      In: Proceedings of the IEEE Pacific Rim International Symposium on Dependable Computing (PRDC 2014), 19-21 Nov 2014
      Singapore. IEEE.

    4. A Multi-stage Thermal Management Strategy for 3D Multicores (PDF)

      Dipika Suresh, Amit Singh and Akash Kumar
      In: Proceedings of the IEEE International Symposium on Rapid System Prototyping (RSP), Embedded Systems Week, 12-17 Oct 2014
      New Delhi, India. IEEE.

    5. Artificial Intelligence Based Task Mapping and Pipelined Scheduling for Checkpointing on Real Time Systems with Imperfect Fault Detection (PDF)

      Anup Das, Akash Kumar and Bharadwaj Veeravalli
      In: Proceedings of the IEEE Symp. Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), 1-3 Oct 2014
      Amsterdam, The Netherlands. IEEE.

    6. PR-HMPSoC: a Versatile Partially Reconfigurable Heterogeneous Multiprocessor System-on-Chip for Dynamic FPGA-based Embedded Systems (PDF)

      Tuan D. A. Nguyen and Akash Kumar
      In: Proceedings of International Conference on Field Programmable Logic and Applications (FPL), 2-4 Sep 2014
      Munich, Germany. IEEE.
      Best Paper Candidate

    7. Criticality-aware Scrubbing Mechanism for SRAM-based FPGAs (PDF)

      Rui Santos, Shyamsundar Venkataraman, Anup Das and Akash Kumar
      In: Proceedings of International Conference on Field Programmable Logic and Applications (FPL), 2-4 Sep 2014
      Munich, Germany. IEEE.

    8. Multi-Directional Error Correction Schemes for SRAM-Based FPGAs (PDF)

      Shyamsundar Venkataraman, Rui Santos, Sidharth Maheshwari and Akash Kumar
      In: Proceedings of International Conference on Field Programmable Logic and Applications (FPL), 2-4 Sep 2014
      Munich, Germany. IEEE.

    9. A Bit-Interleaved Embedded Hamming Scheme to Correct Single-Bit and Multi-Bit Upsets for SRAM-Based FPGAs (PDF)

      Shyamsundar Venkataraman, Rui Santos, Anup Das and Akash Kumar
      In: Proceedings of International Conference on Field Programmable Logic and Applications (FPL), 2-4 Sep 2014
      Munich, Germany. IEEE.

    10. Leakage and Performance Aware Resource Management for 2D Dynamically Reconfigurable FPGA Architectures (PDF)

      Siqi Wang, Nam Khanh Pham, Amit Kumar Singh and Akash Kumar
      In: Proceedings of International Conference on Field Programmable Logic and Applications (FPL), 2-4 Sep 2014
      Munich, Germany. IEEE.

    11. Accelerating Volume Image Registration through Correlation Ratio based Methods on GPUs (PDF)

      Ang Li and Akash Kumar
      In: Proceedings of International Conference on Digital Systems Design (DSD), 27-29 Aug 2014
      Verona, Italy. IEEE.

    12. Reinforcement Learning-Based Inter- and Intra-Application Thermal Optimization for Lifetime Improvement of Multicore Systems (PDF)

      Anup Das, Rishad A. Shafik, Geoff V. Merrett, Bashir M. Al-Hashimi, Akash Kumar and Bharadwaj Veeravalli
      In: Proceedings of Design Automation Conference (DAC), 1-5 Jun 2014.
      San Francisco, USA. IEEE.

    13. A Multi-stage Leakage Aware Resource Management technique for Reconfigurable Architectures (PDF)

      Nam Khanh Pham, Amit Kumar Singh, and Akash Kumar
      In: Proceedings of Great Lakes Symposium on VLSI (GLSVLSI), 21-23 May 2014,
      Houston, USA. IEEE.
      Best Paper Candidate

    14. Temperature Aware Energy-Reliability Trade-offs for Mapping of Throughput-Constrained Applications on Multimedia Multiprocessor Systems (PDF)

      Anup Das, Akash Kumar and Bharadwaj Veeravalli
      In: Proceedings of Design Automation and Test in Europe (DATE), 24-28 Mar 2014
      Dresden, Germany. IEEE.

    15. Combined DVFS and Mapping Exploration for Lifetime and Soft-Error Susceptibility Improvement in MPSoCs (PDF)

      Anup Das, Akash Kumar and Bharadwaj Veeravalli, Cristiana Bolchini and Antonio Miele
      In: Proceedings of Design Automation and Test in Europe (DATE), 24-28 Mar 2014
      Dresden, Germany. IEEE.

2013

    1. Real-time and Low Power Embedded L1-Optimization Solver Design (PDF)

      Zhi Ping Ang and Akash Kumar
      In: Proceedings of the International Conference on Field-Programmable Technology, (FPT), 9-11 Dec 2013.
      Kyoto, Japan. IEEE.

    2. Run-time mapping for reliable many-cores based on energy/performance trade-offs (PDF ~338 kB)

      Cristiana Bolchini, Matteo Carminati, Antonio Miele, Anup Das, Akash Kumar and Bharadwaj Veeravalli
      In: Proceedings of the 16th IEEE Symp. Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), 2-4 Oct 2013
      New York City, USA. IEEE.

    3. Thermal-Aware Mapping of Streaming Applications on 3D Multi-Processor Systems (PDF)

      Marco Cox, Amit Kumar Singh, Akash Kumar and Henk Corporaal
      In: Proceedings of the IEEE/ACM Symposium on Embedded Systems for Real-Time Multimedia (ESTIMedia), Embedded Systems Week, 29 Sep-4 Oct 2013
      Montreal, Canada. IEEE.

    4. MAMPSx: A Design Framework for Rapid Synthesis of Predictable Heterogeneous MPSoCs (PDF)

      Shaktih Fernando, Firew Siyoum, Yifan He, Akash Kumar and Henk Corporaal
      In: Proceedings of the 24rth IEEE International Symposium on Rapid System Prototyping (RSP), Embedded Systems Week, 29 Sep-4 Oct 2013
      Montreal, Canada. IEEE.

    5. Aging-aware Hardware-Software Task Partitioning for Reliable Reconfigurable Multiprocessor Systems (PDF)

      Anup Das, Akash Kumar and Bharadwaj Veeravalli
      In: Proceedings of the International Conference on Compilers Architectures and Synthesis of Embedded Systems (CASES), Embedded Systems Week, 29 Sep-4 Oct 2013.
      Montreal, Canada. ACM/IEEE.

    6. RAPIDITAS: RAPId Design-space-exploration Incorporating Trace-based Analysis and Simulation (PDF)

      Amit Kumar Singh, Anup Das and Akash Kumar
      In: Proceedings of international conference on digital systems design (DSD), 4-6 Sep 2013
      Santander, Spain. IEEE.

    7. Incorporating Energy and Throughput Awareness in Design Space Exploration and Run-time Mapping for Heterogeneous MPSoCs (PDF)

      Nam Khanh Pham, Amit Kumar Singh, Akash Kumar and Mi Mi Aung Khin
      In: Proceedings of international conference on digital systems design (DSD), 4-6 Sep 2013
      Santander, Spain. IEEE.

    8. Improving Autonomous Soft-error Tolerance of FPGA through LUT Configuration Bit Manipulation (PDF)

      Anup Das, Shyamsundar Venkataraman and Akash Kumar
      In: Proceedings of international conference on field programmable logic and applications (FPL), 2-4 Sep 2013
      Porto, Portugal. IEEE.

    9. A Directional Coarse-Grained Power Gated FPGA Switch Box and Power Gating Aware Routing Algorithm (PDF)

      Chin Hau Hoo, Yajun Ha and Akash Kumar
      In: Proceedings of international conference on field programmable logic and applications (FPL), 2-4 Sep 2013
      Porto, Portugal. IEEE.

    10. MAMPSX: A demonstration of rapid, predictable HMPSOC synthesis (PDF kB)

      Shakith Fernando, Mark Wijtvliet, Firew Siyoum, Yifan He, Sander Stuijk, Akash Kumar, Henk Corporaal
      In: Proceedings of international conference on field programmable logic and applications (FPL), 2-4 Sep 2013
      Porto, Portugal. IEEE.

    11. Enhancing VHDL Learning through a Light-weight Integrated Environment for Development and Automated Checking (PDF)

      Akash Kumar, Rajesh C Panicker and Ashraf Kassim
      In: Proceedings of IEEE International Conference on Teaching, Assessment and Learning for Engineering (TALE), 26-29 Aug 2013
      Bali, Indonesia. IEEE.

    12. Energy-Aware Dynamic Reconfiguration of Communication-Centric Applications for Reliable MPSoCs (PDF)

      Anup Das, Amit Kumar Singh and Akash Kumar
      In: Proceedings of 8th International Workshop on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), 10-12 Jul 2013
      Darmstadt, Germany. IEEE.

    13. Mapping on Multi/Many-Core Systems: Survey of Current and Emerging Trends (PDF)

      Amit Singh, Muhammad Shafique, Akash Kumar, Jörg Henkel
      In: Proceedings of Design Automation Conference (DAC), 2-6 Jun 2013
      Austin, USA. IEEE.

    14. Energy Optimization by Exploiting Execution Slacks in Streaming Applications on Multiprocessor Systems (PDF)

      Amit Singh, Anup Kumar Das, Akash Kumar
      In: Proceedings of Design Automation Conference (DAC), 2-6 Jun 2013
      Austin, USA. IEEE.

    15. High Speed Video Processing Using Fine-Grained Processing on FPGA Platform (PDF)

      Ang Zhi Ping, Akash Kumar and Yajun Ha
      In: 21st IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM), 28-30 Apr 2013
      Seattle, Washington, USA. IEEE. The extended version is also available.

    16. Reliability-Driven Task Mapping for Lifetime Extension of NoC-based Multiprocessor Systems (PDF)

      Anup Das, Akash Kumar and Bharadwaj Veeravalli
      In: Proceedings of Design Automation and Test in Europe (DATE), 18-22 Mar 2013
      Grenoble, France. IEEE.

    17. Communication and Migration Energy Aware Design Space Exploration for Multicore Systems with Intermittent Faults (PDF)

      Anup Das, Akash Kumar and Bharadwaj Veeravalli
      In: Proceedings of Design Automation and Test in Europe (DATE), 18-22 Mar 2013
      Grenoble, France. IEEE.

    18. TRISHUL: A Single-pass Optimal Two-level Inclusive Data Cache Hierarchy Selection Process for Real-time MPSoCs (PDF)

      Mohammad Shihabul Haque, Akash Kumar, Yajun Ha, Wu Qiang and Luo Shaobo
      In: Proceedings of the 18th IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 22-25 Jan 2013
      Yokohama, Japan. IEEE.

2012

    1. Energy-Aware Communication and Remapping of Tasks for Reliable Multimedia Multiprocessor Systems (PDF)

      Anup Das, Akash Kumar and Bharadwaj Veeravalli
      In: Proceedings of the 18th IEEE International Conference on Parallel and Distributed Systems (ICPADS), 17-19 Dec 2012
      Singapore. IEEE.

    2. Fault-Aware Task Re-Mapping for Throughput Constrained Multimedia Applications on NoC-based MPSoC (PDF 621kB)

      Anup Das and Akash Kumar
      In: Proceedings of the 23rd IEEE International Symposium on Rapid System Prototyping (RSP), 11-12 Oct 2012
      Tampere, Finland. IEEE.

    3. A Design Flow for Partially Reconfigurable Heterogeneous Multi-Processor Platforms (PDF 422kB)

      Li Jiashu, Anup Das and Akash Kumar
      In: Proceedings of the 23rd IEEE International Symposium on Rapid System Prototyping (RSP), 11-12 Oct 2012
      Tampere, Finland. IEEE.

    4. Minimizing Power Consumption of Spatial Division based Networks-on-Chip Using Multi-Path and Frequency Reduction (PDF 685kB)

      Sheng Hao Wang, Anup Das, Akash Kumar and Henk Corporaal
      In: Proceedings of the 15th Euromicro Conference on Digital Systems Design (DSD), 5-8 Sep 2012
      Izmir, Turkey. IEEE.

    5. An Area-efficient Partially Reconfigurable Crossbar Switch with Low Reconfiguration Delay (PDF 954kB)

      Hoo Chin Hau and Akash Kumar
      In: Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 29-31 Aug 2012.
      Oslo, Norway. IEEE.

    6. Acceleration of Distance-to-Default with Software-Hardware Co-design (PDF 188kB)

      Izaan Allugundu, Pranay Puranik, Yat Piu Lo and Akash Kumar
      In: Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 29-31 Aug 2012.
      Oslo, Norway. IEEE.

    7. Development of a Real-Time FPGA-Based P300 Brain Computer Interface Speller Application (PDF 98kB)

      Kanav Khurana, Pooja Gupta, Rajesh Panicker and Akash Kumar
      In: Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 29-31 Aug 2012.
      Oslo, Norway. IEEE.

    8. Fault-Tolerant Network Interface for Spatial Division Multiplexing Based Network-on-Chip (PDF 870kB)

      Anup Das, Akash Kumar and Bharadwaj Veeravalli
      In: Proceedings of the 7th International Workshop on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), 9-11 Jul 2012.
      York, United Kingdom. IEEE.

2011

    1. Communication-Aware Design Space Exploration for Efficient Run-Time MPSoC Management (PDF 238kB)

      Amit Kumar Singh, Akash Kumar, Wu Jigang and Thambipillai Srikanthan.
      In: Proceedings of the Fourth International Symposium on Parallel Architectures, Algorithms and Programming (PAAP), 9-11 Dec 2011.
      Tianjin, China. IEEE.
      DOI: 10.1109/PAAP.2011.18

    2. Bringing Soccer to the Field of Real-Time Embedded Systems Education (PDF)

      Akash Kumar, Shakith Fernando and Manmohan Manoharan
      In: Workshop in Embedded Systems Education (WESE), Embedded Systems Week, 9-14 Oct 2011.
      Taipei, 2011. ACM/IEEE.
      DOI: 10.1145/2077370.2077377

    3. A Hybrid Strategy for Mapping Multiple Throughput-constrained Applications on MPSoCs (PDF)

      Amit Kumar Singh, Akash Kumar and Thambipillai Srikanthan.
      In: Proceedings of the International Conference on Compilers Architectures and Synthesis of Embedded Systems (CASES), Embedded Systems Week, 9-14 Oct 2011.
      Taipei, 2011. ACM/IEEE.
      DOI: 10.1145/2038698.2038726

    4. Distributed Resource Management for Concurrent Execution of Multimedia Applications on MPSoC Platforms (PDF)

      Ahsan Shabbir, Akash Kumar, Bart Mesman and Henk Corporaal.
      In: Proceedings of the International Symposium on Systems, Architectures, MOdeling and Simulation (SAMOS), 18-21 Jul 2011.
      Samos, Greece, 2011. IEEE.
      DOI: 10.1109/SAMOS.2011.6045454

    5. A Design Space Exploration Methodology for Application Specific MPSoC Design (PDF)

      Amit Kumar Singh, Akash Kumar and Thambipillai Srikanthan.
      In: Proceedings of the Annual Symposium on VLSI (ISVLSI), 4-6 Jul 2011.
      Chennai, 2011. IEEE.
      DOI: 10.1109/ISVLSI.2011.44

    6. An MPSoC Design Approach for Multiple Use-cases of Throughput Constrained Applications (PDF)

      Ahsan Shabbir, Sander Stuijk, Akash Kumar, Bart Mesman and Henk Corporaal.
      In: Proceedings of the ACM Computing Frontiers, 3-6 May 2011.
      Ischia, Italy, 2011. ACM. -->
      DOI: 10.1145/2016604.2016628

    7. An Automated Flow to Map Throughput Constrained Applications to a MPSoC (PDF)

      An Automated Flow to Map Throughput Constrained Applications to a MPSoC (PDF)
      Roel Jordans, Firew Siyoum, Sander Stuijk, Akash Kumar and Henk Corporaal.
      In: Workshop on Predictability and Performance in Embedded Systems, 18 March 2011.
      Grenoble, France, 2011. Schloss Dagstuhl--Leibniz-Zentrum fuer Informatik.
      Published in: Bringing Theory to Practice: Predictability and Performance in Embedded Systems in OpenAccess Series in Informatics (OASIcs)
      Vol. 18, pp. 47-58, ISBN: 978-3-939897-28-6.
      DOI: 10.4230/OASIcs.PPES.2011.47

2010 and Earlier

  1. An Area-efficient Dynamically Reconfigurable Spatial Division Multiplexing Network-on-Chip with Static Throughput Guarantee (PDF)

    Zhiyao Joseph Yang, Akash Kumar and Yajun Ha.
    In: Proceedings of the International Conference on Field-Programmable Technology, 8-10 Dec 2010.
    Beijing, 2010. IEEE.

  2. Mapping Real-life Applications on Run-time Reconfigurable NoC-based MPSoC on FPGA (PDF)

    Amit Kumar Singh, Akash Kumar, Thambipillai Srikanthan, and Yajun Ha.
    In: Proceedings of the International Conference on Field-Programmable Technology, 8-10 Dec 2010.
    Beijing, 2010. IEEE.

  3. Run-time Mapping of Multiple Communicating Tasks on MPSoC Platforms (PDF)

    Amit Kumar Singh, Wu Jigang, Akash Kumar, Thambipillai Srikanthan.
    In: Proceedings of the International Conference on Computational Science, May-June 2010.
    Amsterdam, 2010. Elsevier.

  4. A Predictable Communication Assist (PDF ~293 kB)

    Ahsan Shabbir, Sander Stuijk, Akash Kumar, Bart Theelen, Bart Mesman, Henk Corporaal.
    In: Proceedings of the ACM Computing Frontiers, May 2010, pp. 97-98. ISBN: 978-1-4503-0044-5.
    Italy, 2010. ACM.

  5. Performance Evaluation of Concurrently Executing Parallel Applications on Multi-Processor Systems (PDF)

    Ahsan Shabbir, Akash Kumar, Bart Mesman, and Henk Corporaal.
    In: Proceedings of the International Symposium on Systems, Architectures, MOdeling and Simulation (SAMOS 09), July 2009, pp. 100-107. ISBN: 978-1-4244-4502-8.
    Samos, Greece, 2009. IEEE.

  6. Enabling MPSoC Design Space Exploration on FPGAs

    Ahsan Shabbir, Akash Kumar, Bart Mesman and Henk Corporaal
    In: International Multi-topic Conference (IMTIC), 11-12 Apr 2008, Jamshoro, Pakistan.
    Published in: D.M.A. Hussain, A.Q.K. Rajput, B.S. Chowdhry and Q. Gee (Eds): Wireless Networks, Information Processing and Systems, Communications in Computer and Information Science Series.
    Vol. 20, pp. 412-421, ISBN: 978-3-540-89852-8.
    Springer, 2009.
    DOI: 10.1007/978-3-540-89853-5_44

  7. Vectorization of Reed Solomon Decoding and Mapping on the EVP (PDF)

    Akash Kumar and Kees van Berkel
    Proceedings of Design Automation and Test in Europe, Mar 2008, pp. 450-455. ISBN: 978-3-9810801-3-1.
    Munich, Germany, 2008. IEEE Computer Society.

  8. Multi-processor System-level Synthesis for Multiple Applications on Platform FPGA (PDF ~157 kB)

    Akash Kumar, Shakith Fernando, Yajun Ha, Bart Mesman, and Henk Corporaal
    Proceedings of Field Programmable Logic (FPL) Conference, Aug 2007, pp. 92-97. ISBN: 1-4244-1060-6
    Amsterdam, The Netherlands, 2007. IEEE Circuit and Systems Society.

  9. A Probabilistic Approach to Model Resource Contention for Performance Estimation of Multi-featured Media Devices (PDF)

    Akash Kumar, Bart Mesman, Bart Theelen, Henk Corporaal and Yajun Ha
    Proceedings of Design Automation Conference, Jun 2007, pp. 726-731. ISBN: 978-1-59593-627-1.
    San Diego, USA, 2007. IEEE Computer Society.

  10. An FPGA Design Flow for Reconfigurable Network-Based Multi-Processor Systems-on-Chip (PDF)

    Akash Kumar, Andreas Hansson, Jos Huisken and Henk Corporaal
    Proceedings of Design Automation and Test in Europe, Apr 2007, pp. 117-122. ISBN: 978-3-9810801-2-4.
    Nice, France, 2007. IEEE Computer Society.

  11. Resource Manager for Non-preemptive Heterogeneous Multiprocessor System-on-chip (PDF)

    Akash Kumar, Bart Mesman, Bart Theelen, Henk Corporaal and Yajun Ha
    In: Proceedings of the 4th Workshop on Embedded Systems for Real-Time Multimedia, Oct 2006, pp. 33-38. ISBN: 0-7803-9783-5.
    Seoul, Korea, 2006. IEEE Computer Society.

  12. Global Analysis of Resource Arbitration for MPSoC (PDF)

    Akash Kumar, Bart Mesman, Henk Corporaal, Jef van Meerbergen and Yajun Ha
    In: Proceedings of the 9th Euromicro Conference on Digital Systems Design, Aug 2006, pp. 71-78. ISBN: 0-7695-2609-8.
    Dubrovnik, Croatia, 2006. IEEE Computer Society.

  13. On Composability of MPSoC Applications (PDF)

    Akash Kumar, Bart Theelen, Bart Mesman and Henk Corporaal
    In: Advanced Computer Architecture and Compilation for Embedded Systems (ACACES), Jul 2006, pp. 149-152, ISBN: 90-382-0981-9
    L'Aquila, Italy, 2006.

  14. Reconfigurable Multi-Processor Network-on-Chip on FPGA(PDF)

    Akash Kumar, Ido Ovadia, Jos Huisken, Henk Corporaal, Jef van Meerbergen and Yajun Ha
    In: Proceedings of 12th Annual Conference of the Advanced School for Computing and Imaging, Jun 2006, pp. 313-317, ISBN: 90-810-8491-7.
    Lommel, Belgium, 2006.

  15. High-Throughput and Low-Power Architectures for Reed Solomon Decoder (PDF)

    Akash Kumar and Sergei Sawitzki
    Proceedings of the 39th Asilomar Conference on Signals, Systems, and Computers, Oct 2005, pp. 990-994. ISBN: 1-4244-0132-1.
    Pacific Grove, U.S.A., 2005. IEEE Circuit and Systems Society.

  16. High-Throughput and Low-Power Reed Solomon Decoded for Ultra Wide Band (PDF)

    Akash Kumar and Sergei Sawitzki
    In: Proceedings of Philips Symposium on Intelligent Algorithms Dec 2004.
    Philips High Tech Campus, Eindhoven, 2004.

  17. Burst Scheduling Based on Time-slotting and Fragmentation in WDM Optical Burst Switched Networks (PDF)

    G. Mohan, M. Ashish, and K. Akash
    In: Proceedings of IASTED International Conference on Wireless and Optical Communications WOC, July 2002, pp. 351-355.
    Banff, Canada.

  18. Membrane Systems and Distributed Computing

    Gabriel Ciobanu, Rahul Desai and Akash Kumar
    In: Workshop on Membrane Computing, 2002
    Romania.

Reports
  1. Accurate Run-time Performance Prediction for Multi-Application Multi-Processor Systems. (PDF)

    Akash Kumar, Bart Mesman, Henk Corporaal, and Yajun Ha.
    In: ES Report ESR-2008-07. June 16, 2008.
    Eindhoven University of Technology.

  2. A Probabilistic Approach to Model Resource Contention for Performance Estimation of Multi-featured Media Devices. (PDF)

    Akash Kumar, Bart Mesman, Henk Corporaal, Bart Theelen and Yajun Ha.
    In: ES Report ESR-2007-02. Mar 25, 2007.
    Eindhoven University of Technology.

  3. On Composability of MPSoC Applications (PDF)

    Akash Kumar, Bart Theelen, Bart Mesman and Henk Corporaal
    In: Architecture and Compilers for Embedded Systems Oct 2006, pp. 110-113, ISBN: 90-382-1016-7.
    Edegem, Belgium, 2006.